新人求助关于DAC输出波形的问题

2019-07-20 22:44发布

原子哥,各位大神,我想要用TIM+DAC+DMA输出一个梯形波形,总共时长是30min(1800s),上升和下降阶段各是30s,幅值是3V,如下图所示:
梯形波 梯形波
目前打算用TIM+DAC+DMA实现,但是DMA里面内存的数组应该怎么写呀?感觉应该不会是要把所有点都写进数组吧,但是我也不知道有啥其他的办法,请各位指点迷津!
友情提示: 此问题已得到解决,问题已经关闭,关闭后问题禁止继续编辑,回答。
8条回答
王木土哈哈哈
2019-07-21 13:00
wgh1990 发表于 2016-5-12 15:09
只要把变化的点写进数值然后控制每个点的时间就行了 这个跟写时序一样的道理

我现在的想法是:定义三个数组,上升段一个(100个数值)、下降段一个(100个数值),中间恒定值一个(1个数值),所以要实现上图波形,应该是上升段数组发一次,中间段发若干次(根据具体时长确定),下降段发一次。那么三个数组维数不一样、发送次数不一样,我在定时器中断服务函数里应该怎么编呢?希望您能不吝赐教,谢谢!

一周热门 更多>