怎么产生脉冲

2019-07-21 01:28发布

使用定时器产生8ms' 5ms' 2ms的脉冲,是怎么写那,写程序思路有哪位大佬能讲解一下嘛
友情提示: 此问题已得到解决,问题已经关闭,关闭后问题禁止继续编辑,回答。
3条回答
LIGONG004
2019-07-21 07:38
RCC->APB1ENR|=1<<2;                //TIM4ê±&#214;ó
        TIM4->ARR=30*1200;                //30S中断一次
        TIM4->PSC=60000;                        //·&#214;&#198;μ&#198;÷=60000   1.2KHZ
        TIM4->DIER|=1;                        //&#212;êDí&#184;üD&#194;&#214;D&#182;&#207;
        TIM4->DIER|=1<<6;                        //&#212;êDí′¥·¢&#214;D&#182;&#207;
        TIM4->CR1|=0X01;                        //ê1&#196;ü&#182;¨ê±&#198;÷4&#188;&#198;êy
        TIM4->SR&=~(1<<0);                //&#199;&#229;±ê&#214;&#190;&#206;&#187;
        NVIC->IP[30]|=0XF0;                //TIM4&#199;à&#213;&#188;ó&#197;&#207;è&#188;&#182;=3  ,×óó&#197;&#207;è&#188;&#182;=3
        NVIC->ISER[0]|=(1<<30);                //&#191;aTIM4&#214;D&#182;&#207;  30#&#214;D&#182;&#207;

//30S中断一次

参靠一下

一周热门 更多>