怎么产生脉冲

2019-07-21 01:28发布

使用定时器产生8ms' 5ms' 2ms的脉冲,是怎么写那,写程序思路有哪位大佬能讲解一下嘛
友情提示: 此问题已得到解决,问题已经关闭,关闭后问题禁止继续编辑,回答。