Vivado仿真问题

2019-03-25 07:09发布

用vivado仿真是遇到下面的问题,代码都看了,没有发现异常
目录下的文件也检查过了,也没有异常
感觉像是软件安装有问题,但也找不出哪里的问题

有没有遇到这种情况,怎么解决的?


[USF-XSim-62] 'compile' step failed with error(s) while executing 'D:/Works/FPGA/Xilinx/project_test_1/project_test_1.sim/sim_1/behav/xsim/compile.bat' script. Please check that the file has the correct 'read/write/execute' permissions and the Tcl console output for any other possible errors or warnings.

[Vivado 12-4473] Detected error while running simulation. Please correct the issue and retry this operation.

testbench -->

module simu(
);
// testbench 时钟信号
reg clk = 0;
always #10 clk <= ~clk;
// 输出信号
wire out;
// 调用test模块
test mytest(clk, out);
endmodule

module -->

module test(
input in,
output out
);
assign out = ~in;
endmodule



此帖出自小平头技术问答
友情提示: 此问题已得到解决,问题已经关闭,关闭后问题禁止继续编辑,回答。
9条回答
yshmilyou
2019-03-26 00:42
testset 发表于 2019-1-31 13:42
the file has the correct 'read/write/execute' permissions
似乎是你的文件权限设置的不对,
是在linux ...

window系统,看文件权限没问题啊,文件夹命名也没看到异常,感觉像是软件没装好,但是重装了两次也都是同样的问题

一周热门 更多>