CPLD用model仿真,编写testbench 出现错误,该如何解决,请版主大神解答指点迷津。

2019-03-25 07:20发布

1511243872(1).jpg 1511244353(1).jpg
自己编写CPLD程序,想用modelsim进行仿真,于是在Quartus里面编写testbench文件,但是出现上图错误,请高手解答一下。不胜感激!!!!!
此帖出自小平头技术问答
友情提示: 此问题已得到解决,问题已经关闭,关闭后问题禁止继续编辑,回答。