Vivado报错求助!

2019-03-25 07:24发布

[Opt 31-67] Problem: A LUT6 cell in the design is missing a connection on input pin I3, which is used by the LUT equation. This pin has either been left unconnected in the design or the connection was removed due to the trimming of unused logic. The LUT cell name is: u_mig/u_mig_7series_0_mig/u_memc_ui_top_std/mem_intfc0/mc0/rank_mach0/rank_common0/maintenance_request.maint_arb0/maintenance_request.maint_sre_r_lcl_i_1.

[Opt 31-67] Problem: A LUT6 cell in the design is missing a connection on input pin I5, which is used by the LUT equation. This pin has either been left unconnected in the design or the connection was removed due to the trimming of unused logic. The LUT cell name is: u_mig/u_mig_7series_0_mig/u_memc_ui_top_std/mem_intfc0/mc0/rank_mach0/rank_common0/maintenance_request.maint_arb0/maintenance_request.maint_srx_r_lcl_i_1.

[Opt 31-67] Problem: A LUT6 cell in the design is missing a connection on input pin I1, which is used by the LUT equation. This pin has either been left unconnected in the design or the connection was removed due to the trimming of unused logic. The LUT cell name is: u_mig/u_mig_7series_0_mig/u_memc_ui_top_std/mem_intfc0/mc0/i___82.

[Opt 31-67] Problem: A LUT5 cell in the design is missing a connection on input pin I4, which is used by the LUT equation. This pin has either been left unconnected in the design or the connection was removed due to the trimming of unused logic. The LUT cell name is: u_mig/u_mig_7series_0_mig/u_memc_ui_top_std/mem_intfc0/mc0/i___83.

[Opt 31-67] Problem: A LUT5 cell in the design is missing a connection on input pin I4, which is used by the LUT equation. This pin has either been left unconnected in the design or the connection was removed due to the trimming of unused logic. The LUT cell name is: u_mig/u_mig_7series_0_mig/u_memc_ui_top_std/mem_intfc0/mc0/rank_mach0/rank_common0/i___81_i_2.
由以上可见,它指向的都是我生成的mig的IP核的底层文件,我找了好久都找不到这个引脚在哪儿?请问大大们,这个问题是因为引脚没连导致的吗?如何解决?

此帖出自小平头技术问答
友情提示: 此问题已得到解决,问题已经关闭,关闭后问题禁止继续编辑,回答。