verilog如何用矩阵键盘按三次输入三位数(0-999)?

2019-03-25 07:34发布

verilog如何用矩阵键盘按三次输入三位数(0-999)?
module key4x4
(
     clk,
     rst_n,
     row,                 
     col,               
     key_val         
);
input clk;
input rst_n;
input [3:0] row;      // 矩阵键盘 行
output reg[3:0] col;  // 矩阵键盘 列
output reg[3:0] key_val;  // 键盘值  
按键每按一次只会输出一个数字,连续按需要如下处理:
num=key_val;
key_data=(key_data)*10+num;
这样如何实现????????????
此帖出自小平头技术问答
友情提示: 此问题已得到解决,问题已经关闭,关闭后问题禁止继续编辑,回答。
11条回答
okhxyyo
2019-03-26 11:57
个人觉得移位加空格(或其他一个专用按键)判断数字是否输入结束是比较习惯常规的做法。像判断等待时间那种其实不好说把?还要实验多少时间比较合适。而且键盘处理一般要来个去抖的把。如果新手的话,一开始其实不必讲究太深。先学会基本技能然后再深入研究。

一周热门 更多>