[verilog] 有人知道该怎么写吗?指点一下。谢谢

2019-03-25 07:39发布

这是一个C语言中的多重for循环,该怎么改写啊 ?
void main(short int** pd,int* nse,int il,int jl)

{
        
        int i,j,k,l;
        
        double avg,temp;
        
    for(i=1;i<15;i++)
      {

        for(j=1;j<15;j++)
         {
            avg=0;
                        
            temp=0;

            for(k=-1;k<=1;k++)
               {
                                
                  for(l=-1;l<=1;l++)
                      {
                                       
                         avg+=(double)pd[i+k][j+l];
                                
                       }
                        
                }
         avg=avg/((double)sn*sn);
            for(k=-1;k<=1;k++)
               {
                                
                  for(l=-1;l<=1;l++)
                      {
                                       
                         temp+=((double)pd[i+k][j+l]-avg)*((double)pd[i+k][j+l]-avg);
        
                       }
        
                }  
还有就是对于数组p[i+k][j+l]在Verilog中是直接表示还是需要转换,该怎样转换。谢谢。
此帖出自小平头技术问答
友情提示: 此问题已得到解决,问题已经关闭,关闭后问题禁止继续编辑,回答。