头疼啊,求思路

2019-03-25 07:49发布

现在要用60万门的fpga做一个接口模块,一开始只是四路串口收发,收到的数据经过组合后发出,我用fpga内部寄存器定义的数组,实现了功能。但是,综合后资源利用率达到了80%。

现在,功能扩展了,要完成十路串口的收发,肯定要用块ram来存储收到的数据了。问题是,发出的数据是对十路收到数据的重新排列组合,这种逻辑功能,我应该怎么实现呢??

经验少,没有思路,求大家给点意见!

@00750
此帖出自小平头技术问答
友情提示: 此问题已得到解决,问题已经关闭,关闭后问题禁止继续编辑,回答。
13条回答
仙猫
2019-03-26 12:44
Aragorn 发表于 2015-5-19 09:40
人家现在正是因为用了RAM而不知道怎么处理接下来的逻辑

至少从字面上理解,现有的4路收发已占资源80%,要扩展成10路收发资源还够不够用是要首先考虑的。
因此做扩展时能否不再使用太多的新添资源,尽量复用现有逻辑,恐怕是个关键。
当然,没看到具体的东西无法准确判断。

一周热门 更多>