仿真波形问题

2019-03-25 07:58发布

  各位楼主,大家好!我在用modelsim-altera仿真时,出现过好多警告,警告内容为:Warning: CONV_INTEGER: There is an 'U'|'X'|'W'|'Z'|'-' in an arithmetic operand, and it has been converted to 0.
#    Time: 875 ns  Iteration: 4  Instance: /zong_vhd_tst/i1/b2v_inst5/altsyncram_component,基本上都相同,只是#time后的时间不一样, 请大家帮我看看,我找了好多原因都没解决,程序的功能是:将IP核rom内存储的32个16进制数输出,并将这32个16进制数一位一位的输出(即串行输出),仿真波形我上传给大家看看,我QQ:1942036561,请各位fpga爱好者加入, 此帖出自小平头技术问答
友情提示: 此问题已得到解决,问题已经关闭,关闭后问题禁止继续编辑,回答。