modelsim仿真不符合逻辑

2019-03-25 07:59发布

modelsim仿真verilog代码 发现结果不符合逻辑
代码里面两个信号相与   结果出来的信号竟然是不定态  这是什么原因?试了好几次都没找到原因 代码 代码 波形 波形
此帖出自小平头技术问答
友情提示: 此问题已得到解决,问题已经关闭,关闭后问题禁止继续编辑,回答。