FPGA旁边的SDRAM,这个SDRAM与FPGA怎么连接?如果要用SDRAM,是不是还需要写控制程序

2019-03-25 08:03发布

FPGA通常都有的SDRAM,这个SDRAM与FPGA怎么连接?是固定好的还是自己设计连接?如果要用SDRAM,是不是还需要写控制程序?还是连接好就可以用??困扰中,求解答! 此帖出自小平头技术问答
友情提示: 此问题已得到解决,问题已经关闭,关闭后问题禁止继续编辑,回答。