谁知道怎么解决这些警告??、

2019-03-25 08:08发布

WARNING:Xst:2211 - "D:/example/scan/dds.vhd" line 58: Instantiating black box module <sin>.
WARNING:Xst:2734 - Property "use_dsp48" is not applicable for this technology.
WARNING:Xst:2734 - Property "use_dsp48" is not applicable for this technology.
WARNING:Xst:2734 - Property "use_dsp48" is not applicable for this technology.
WARNING:Xst:2734 - Property "use_dsp48" is not applicable for this technology.
WARNING:Xst:646 - Signal <dataout_temp<1:0>> is assigned but never used.
WARNING:Xst:2734 - Property "use_dsp48" is not applicable for this technology.
WARNING:Xst:2404 -  FFs/Latches <ad_jun<29:12>> (without init value) have a constant value of 0 in block <V_measure>.
WARNING:Xst:2404 -  FFs/Latches <yimiao<5:1>> (without init value) have a constant value of 0 in block <V_measure>.
WARNING:Xst:1710 - FF/Latch  <ad_youxiao_11> (without init value) has a constant value of 0 in block <V_measure>.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  <ad_youxiao_10> (without init value) has a constant value of 0 in block <V_measure>.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  <ad_youxiao_9> (without init value) has a constant value of 0 in block <V_measure>.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  <ad_youxiao_8> (without init value) has a constant value of 0 in block <V_measure>.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  <ad_youxiao_7> (without init value) has a constant value of 0 in block <V_measure>.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  <ad_youxiao_6> (without init value) has a constant value of 0 in block <V_measure>.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  <ad_youxiao_5> (without init value) has a constant value of 0 in block <V_measure>.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  <ad_youxiao_4> (without init value) has a constant value of 0 in block <V_measure>.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  <ad_youxiao_3> (without init value) has a constant value of 0 in block <V_measure>.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  <ad_youxiao_2> (without init value) has a constant value of 0 in block <V_measure>.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  <ad_youxiao_1> (without init value) has a constant value of 0 in block <V_measure>.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  <ad_youxiao_0> (without init value) has a constant value of 0 in block <V_measure>.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  <yimiao> (without init value) has a constant value of 1 in block <V_measure>.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  <ad_jun_11> (without init value) has a constant value of 0 in block <V_measure>.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  <ad_jun_10> (without init value) has a constant value of 0 in block <V_measure>.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  <ad_jun_9> (without init value) has a constant value of 0 in block <V_measure>.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  <ad_jun_8> (without init value) has a constant value of 0 in block <V_measure>.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  <ad_jun_7> (without init value) has a constant value of 0 in block <V_measure>.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  <ad_jun_6> (without init value) has a constant value of 0 in block <V_measure>.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  <ad_jun_5> (without init value) has a constant value of 0 in block <V_measure>.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  <ad_jun_4> (without init value) has a constant value of 0 in block <V_measure>.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  <ad_jun_3> (without init value) has a constant value of 0 in block <V_measure>.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  <ad_jun_2> (without init value) has a constant value of 0 in block <V_measure>.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  <ad_jun_1> (without init value) has a constant value of 0 in block <V_measure>.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  <ad_jun_0> (without init value) has a constant value of 0 in block <V_measure>.
WARNING:Xst:2677 - Node <ad_d_0> of sequential type is unconnected in block <DDS>.
WARNING:Xst:2677 - Node <ad_d_1> of sequential type is unconnected in block <DDS>.
WARNING:Xst:2677 - Node <ad_d_2> of sequential type is unconnected in block <DDS>.
WARNING:Xst:2677 - Node <ad_d_3> of sequential type is unconnected in block <DDS>.
WARNING:Xst:2677 - Node <ad_d_4> of sequential type is unconnected in block <DDS>.
WARNING:Xst:2677 - Node <ad_d_5> of sequential type is unconnected in block <DDS>.
WARNING:Xst:2677 - Node <ad_d_6> of sequential type is unconnected in block <DDS>.
WARNING:Xst:2677 - Node <ad_d_7> of sequential type is unconnected in block <DDS>.
WARNING:Xst:2677 - Node <ad_d_8> of sequential type is unconnected in block <DDS>.
WARNING:Xst:2677 - Node <ad_d_9> of sequential type is unconnected in block <DDS>.
WARNING:Xst:2677 - Node <ad_d_10> of sequential type is unconnected in block <DDS>.
WARNING:Xst:2677 - Node <ad_d_11> of sequential type is unconnected in block <DDS>.
WARNING:Xst:1710 - FF/Latch  <odata7_3> (without init value) has a constant value of 0 in block <V_measure>.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  <odata7_2> (without init value) has a constant value of 0 in block <V_measure>.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  <odata7_1> (without init value) has a constant value of 0 in block <V_measure>.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  <odata7_0> (without init value) has a constant value of 0 in block <V_measure>.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  <odata6_3> (without init value) has a constant value of 0 in block <V_measure>.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  <odata6_2> (without init value) has a constant value of 0 in block <V_measure>.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  <odata6_1> (without init value) has a constant value of 0 in block <V_measure>.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  <odata6_0> (without init value) has a constant value of 0 in block <V_measure>.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  <odata5_3> (without init value) has a constant value of 0 in block <V_measure>.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  <odata5_2> (without init value) has a constant value of 0 in block <V_measure>.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  <odata5_1> (without init value) has a constant value of 0 in block <V_measure>.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  <odata5_0> (without init value) has a constant value of 0 in block <V_measure>.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  <odata3_3> (without init value) has a constant value of 0 in block <V_measure>.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  <odata3_2> (without init value) has a constant value of 0 in block <V_measure>.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  <odata3_1> (without init value) has a constant value of 0 in block <V_measure>.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  <odata3_0> (without init value) has a constant value of 0 in block <V_measure>.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  <odata4_3> (without init value) has a constant value of 0 in block <V_measure>.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  <odata4_2> (without init value) has a constant value of 0 in block <V_measure>.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  <odata4_1> (without init value) has a constant value of 0 in block <V_measure>.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  <odata4_0> (without init value) has a constant value of 0 in block <V_measure>.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  <odata2_3> (without init value) has a constant value of 0 in block <V_measure>.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  <odata2_2> (without init value) has a constant value of 0 in block <V_measure>.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  <odata2_1> (without init value) has a constant value of 0 in block <V_measure>.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  <odata2_0> (without init value) has a constant value of 0 in block <V_measure>.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  <odata0_3> (without init value) has a constant value of 0 in block <V_measure>.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  <odata0_2> (without init value) has a constant value of 0 in block <V_measure>.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  <odata0_1> (without init value) has a constant value of 0 in block <V_measure>.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  <odata0_0> (without init value) has a constant value of 0 in block <V_measure>.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  <odata1_3> (without init value) has a constant value of 0 in block <V_measure>.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  <odata1_2> (without init value) has a constant value of 0 in block <V_measure>.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  <odata1_1> (without init value) has a constant value of 0 in block <V_measure>.
WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch  <odata1_0> (without init value) has a constant value of 0 in block <V_measure>.
WARNING:Xst:2677 - Node <m4_0> of sequential type is unconnected in block <V_measure>.
WARNING:Xst:2677 - Node <m4_1> of sequential type is unconnected in block <V_measure>.
WARNING:Xst:2677 - Node <m4_2> of sequential type is unconnected in block <V_measure>.
WARNING:Xst:2677 - Node <m4_3> of sequential type is unconnected in block <V_measure>.
WARNING:Xst:2677 - Node <m4_4> of sequential type is unconnected in block <V_measure>.
WARNING:Xst:2677 - Node <m4_5> of sequential type is unconnected in block <V_measure>.
WARNING:Xst:2677 - Node <m4_6> of sequential type is unconnected in block <V_measure>.
WARNING:Xst:2677 - Node <m4_7> of sequential type is unconnected in block <V_measure>.
WARNING:Xst:2677 - Node <m4_8> of sequential type is unconnected in block <V_measure>.
WARNING:Xst:2677 - Node <m4_9> of sequential type is unconnected in block <V_measure>.
WARNING:Xst:2677 - Node <m4_10> of sequential type is unconnected in block <V_measure>.
WARNING:Xst:2677 - Node <m4_11> of sequential type is unconnected in block <V_measure>.
WARNING:Xst:2677 - Node <m4_12> of sequential type is unconnected in block <V_measure>.
WARNING:Xst:2677 - Node <m4_13> of sequential type is unconnected in block <V_measure>.
WARNING:Xst:2677 - Node <m4_14> of sequential type is unconnected in block <V_measure>.
WARNING:Xst:2677 - Node <m4_15> of sequential type is unconnected in block <V_measure>.
WARNING:Xst:2677 - Node <m4_16> of sequential type is unconnected in block <V_measure>.
WARNING:Xst:2677 - Node <m4_17> of sequential type is unconnected in block <V_measure>.
WARNING:Xst:2677 - Node <m4_18> of sequential type is unconnected in block <V_measure>.
WARNING:Xst:2677 - Node <m4_19> of sequential type is unconnected in block <V_measure>.
WARNING:Xst:2677 - Node <m4_20> of sequential type is unconnected in block <V_measure>.
WARNING:Xst:2677 - Node <m4_21> of sequential type is unconnected in block <V_measure>.
WARNING:Xst:2677 - Node <m4_22> of sequential type is unconnected in block <V_measure>.
WARNING:Xst:2677 - Node <m4_23> of sequential type is unconnected in block <V_measure>.
WARNING:Xst:2677 - Node <m4_24> of sequential type is unconnected in block <V_measure>.
WARNING:Xst:2677 - Node <m4_25> of sequential type is unconnected in block <V_measure>.
WARNING:Xst:2677 - Node <m4_26> of sequential type is unconnected in block <V_measure>.
WARNING:Xst:2677 - Node <m4_27> of sequential type is unconnected in block <V_measure>.
WARNING:Xst:2677 - Node <m4_28> of sequential type is unconnected in block <V_measure>.
WARNING:Xst:2677 - Node <m4_29> of sequential type is unconnected in block <V_measure>.
WARNING:Xst:2677 - Node <ad_d_0> of sequential type is unconnected in block <DDS>.
WARNING:Xst:2677 - Node <ad_d_1> of sequential type is unconnected in block <DDS>.
WARNING:Xst:2677 - Node <ad_d_2> of sequential type is unconnected in block <DDS>.
WARNING:Xst:2677 - Node <ad_d_3> of sequential type is unconnected in block <DDS>.
WARNING:Xst:2677 - Node <ad_d_4> of sequential type is unconnected in block <DDS>.
WARNING:Xst:2677 - Node <ad_d_5> of sequential type is unconnected in block <DDS>.
WARNING:Xst:2677 - Node <ad_d_6> of sequential type is unconnected in block <DDS>.
WARNING:Xst:2677 - Node <ad_d_7> of sequential type is unconnected in block <DDS>.
WARNING:Xst:2677 - Node <ad_d_8> of sequential type is unconnected in block <DDS>.
WARNING:Xst:2677 - Node <ad_d_9> of sequential type is unconnected in block <DDS>.
WARNING:Xst:2677 - Node <ad_d_10> of sequential type is unconnected in block <DDS>.
WARNING:Xst:2677 - Node <ad_d_11> of sequential type is unconnected in block <DDS>. 此帖出自小平头技术问答
友情提示: 此问题已得到解决,问题已经关闭,关闭后问题禁止继续编辑,回答。