用Verilog实现滑动平均

2019-03-25 08:16发布

急求用Verilog语言实现的滑动平均的代码,还望大神不吝赐教!对于一组数据,看成一个队列,每采样得到一个新的数据就放入队列中,同时剔除队列中最开始进去的那个值,然后将这组数求平均输出!急! 此帖出自小平头技术问答
友情提示: 此问题已得到解决,问题已经关闭,关闭后问题禁止继续编辑,回答。