FPGA实现最高非零位的快速确定问题

2019-03-25 08:19发布

对于任意一个16位数据,如何快速确定它的最高非零位的位置。 此帖出自小平头技术问答
友情提示: 此问题已得到解决,问题已经关闭,关闭后问题禁止继续编辑,回答。