用verilog写两个数差的绝对值

2019-03-25 08:21发布

请教一下: 现在要用verilog写两个数差的绝对值,比如AD(i,j)=|pl(i,j)—pr(i,j)|,其中0<=i,j<=6,请问要怎么写啊?谢谢
此帖出自小平头技术问答
友情提示: 此问题已得到解决,问题已经关闭,关闭后问题禁止继续编辑,回答。
5条回答
jokeboy999
2019-03-25 12:23
< / 我以前用补码做过,但效果也不是很理想,后来用比较的方法来判断,
绝对值无非就是求差出来的结果不能为负,
所以,我判断两个数,
module( input clk,input a,input b,output c);
always@(posedge clk)
begin
if(a>=b)  c<= a-b;
else  c<= b-a;
end
仿真后发现,挺正常,希望可以帮到你

一周热门 更多>