有关分频的程序

2019-03-25 08:21发布

我写了一个FPGA  50MHZ分频为1HZ的程序,不过好像不对,大家帮我看看哪里不对。

reg[22:0] counter;
reg[1:0] clkout;

always @(posedge clk)
begin
        if(counter==25_000_000)
        begin
                clkout<=!clkout;
                counter<=1'b1;
        end       
        else
        begin
                counter<=counter+1'b1;
        end       
end 此帖出自小平头技术问答
友情提示: 此问题已得到解决,问题已经关闭,关闭后问题禁止继续编辑,回答。
6条回答
Leo417love
2019-03-25 16:18
reg[22:0] counter;为23位
那么2^23=8_388_608<25_000_000
类似于【但不能等同于】C语言的变量类型的错误!
改为reg[24:0] counter;再试试看!2^25=33_554_432
[个人意见]还有测试等号【if(counter==25_000_000) 】里面的清零,需修改为counter<=0;

[ 本帖最后由 Leo417love 于 2013-7-22 18:15 编辑 ]

一周热门 更多>