ISE VHDL 综合警告 增加2到3G Memory

2019-03-25 08:35发布

编了一个大程序,3万行,可以仿真,但综合警告 增加2到3G Memory

是我的计算机要增加内存,还是FPGA板的Memory不够了呢?

这个警告很严重吗?我要怎么解决呢?

[ 本帖最后由 timdong 于 2013-3-10 22:15 编辑 ] 此帖出自小平头技术问答
友情提示: 此问题已得到解决,问题已经关闭,关闭后问题禁止继续编辑,回答。
7条回答
timdong
2019-03-25 14:13
这是我一个朋友遇到的问题,具体情况我也没有看到。

他要完成一个矩阵运算,他首先把这个 50x50的矩阵都读入2500个寄存器,然后再加减乘除。所以写了3万行。

有没有人碰到过这种情况呀?

[ 本帖最后由 timdong 于 2013-3-11 22:25 编辑 ]

一周热门 更多>