第一次搞FIFO,数据貌似写不进去,求助Ing

2019-03-25 09:10发布

SOPC BUILDER定制了个8位256深度的FIFO,综合能通过,但仿真没成功,各位高手看看呗,给点意见

library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_arith.all;use ieee.std_logic_unsigned.all;
entity control is port(clk : in std_logic; wrreq,rdreq : out std_logic; usedw : in std_logic_vector(7 downto 0));end entity;
architecture bhv of control isbegin process(clk) begin if clk'event and clk='1' then if usedw<"01110101" then rdreq<='0'; wrreq<='1'; else wrreq<='0'; rdreq<='1'; end if; end if; end process;end architecture; 此帖出自小平头技术问答
友情提示: 此问题已得到解决,问题已经关闭,关闭后问题禁止继续编辑,回答。