DDS ip核仿真输出总是不定态

2019-03-25 09:11发布

我使用的是ISE 10.1,仿真用的是modelsim SE6.5 仿真的DDS ip核版本是Direct Digital Synthesizer5.0。输出总是不定态是怎么回事?求助。。。
我在ISE12.4里仿真DDS compiler4.0能出来结果,但现在要用一块sparten2的芯片,只能用ISE10.1。唉,愁啊~
我是新手,大家觉得有什么想法,可能是什么问题都可以说,帮帮忙~~~谢了

[ 本帖最后由 Arge_Chan 于 2011-8-4 19:17 编辑 ] 此帖出自小平头技术问答
友情提示: 此问题已得到解决,问题已经关闭,关闭后问题禁止继续编辑,回答。
3条回答
jinheng23
2019-03-25 20:05
我10.1的和13.2的ISE中的DDS IP核都用过,根据我的经验,应该是当A="00000"(假设你只有一个通道)时,DATA没有成功写入。也就就是说频率控制字没写进去。建议你打开DDS IP核的PDF说明,严格按照它给的时序控制输入。应该可以的。

一周热门 更多>