FPGA 一个点灯程序 呵呵 请教

2019-03-25 09:11发布

module sw_led(clk, rst,led0, led1);    input         clk;    input         rst;   output   led0;    output   led1;      wire led0; wire led1;
reg    [1 :0] led;
assign   {led0,led1} = led;   always @ ( posedge clk or negedge rst) begin if(!rst) //led <= 2'b10; //绿灯亮 led <= 2'b01; //红灯亮
endendmodule

烧到板子里,,灯是给点亮了,,但是没有闪烁效果
CLK的时钟是40MHz
试着添加了分频,,效果不明显
请指教一下,如何整,才能看到闪烁效果,比如1秒 闪一次。。。最好是时间可调节,,2秒一次,3 秒。。。。
谢谢 此帖出自小平头技术问答
友情提示: 此问题已得到解决,问题已经关闭,关闭后问题禁止继续编辑,回答。