FPGA实现排序?

2019-03-25 09:14发布

现在需要在FPGA内实现144个数据的排序,除了冒泡排序,我现在还使用RAM来排序,因为冒泡是在太消耗资源,RAM来排序又会出现一些问题解决不了,不知道有没有哪个高手能给小妹提供一个好的思路,能不那么耗资源,还能较快的输出结果呢?拜谢...... 此帖出自小平头技术问答
友情提示: 此问题已得到解决,问题已经关闭,关闭后问题禁止继续编辑,回答。