一道题,用vhdl写

2019-03-25 09:14发布

写出相应的vhdl描述,求大神帮忙。。。 此帖出自小平头技术问答
友情提示: 此问题已得到解决,问题已经关闭,关闭后问题禁止继续编辑,回答。
9条回答
simpheele
2019-03-25 19:24
直接求出输出关于输入的逻辑表达方程,并采用卡诺图化简得最简式
在vhdl直接一句话实现,从而同时实现了硬件以及软件的高效运算。

一周热门 更多>