【项目外包】FPGA中实现TCP/IP IPV4或者IPV6的协议栈

2019-03-25 09:15发布

FPGA中实现TCP/IP IPV4或者IPV6的协议栈

  • 项目预算:¥ 3,000~20,000
  • 开发周期: 45天
  • 项目分类: 嵌入式
  • 竞标要求:
  • 项目标签: Xilinx FPGA TCP/IP 协议栈
  • 项目描述: 1.在FPGA上实现 TCP/IP 的协议栈。
    2. IPV4和IPV6
点此竞标2012-06-27 本外包项目信息是由EEWORLD的合作网站CSTO发布的,如果您技术过硬且时间充裕,欢迎前来竞标。CSTO的经营理念是——让靠谱的软件外包项目及时找到靠谱的开发人员,为优秀的技术团队提供更多的优秀订单。 此帖出自小平头技术问答
友情提示: 此问题已得到解决,问题已经关闭,关闭后问题禁止继续编辑,回答。