sram实验中uv8*

2019-10-15 20:56发布

//在指定地址(WriteAddr+Bank1_SRAM3_ADDR)开始,连续写入n个字节.
//pBuffer:字节指针
//WriteAddr:要写入的地址
//n:要写入的字节数
void FSMC_SRAM_WriteBuffer(u8* pBuffer,u32 WriteAddr,u32 n)
{
        for(;n!=0;n--)  
        {                                                                                    
                *(vu8*)(Bank1_SRAM3_ADDR+WriteAddr)=*pBuffer;          
                WriteAddr++;
                pBuffer++;
        }   
}       
请问地址(Bank1_SRAM3_ADDR+WriteAddr)为什么要强制转化为(uv8*)而不是(uv32*)?8位的地址为什么还能写到外部sram中,不会写到内部地址中去?
友情提示: 此问题已得到解决,问题已经关闭,关闭后问题禁止继续编辑,回答。