编译工程报错:..srcsystick.c(31): error: #20: identifier "SystemCoreClock" is undefined

2019-10-16 02:24发布

如题,请各位大虾指正,用ST官网的USB库中的例程,想自己修改一下,未修改前编译正常,添加了一个systick.c和systick.h而后报错:..srcsystick.c(31): error:  #20: identifier "SystemCoreClock" is undefined,SystemCoreClock在core_cm3.h中定义,而且我在systick.h中已经包含了这个头文件,systick.h中的内容如下:#ifndef __SYSTICK_H#define __SYSTICK_H

#include "stm32f10x.h"
#include "core_cm3.h"

void SysTick_Init_Config(void);
void Delay_nMs(u32 nms);
void Delay_nS(u32 ns);

#endif
百思不得其解,为什么一直说没有定义,试了各种方法也不行,请指教,不胜感谢!在线等,挺着急的!


友情提示: 此问题已得到解决,问题已经关闭,关闭后问题禁止继续编辑,回答。