求助FPGA处理图像的方法 软件部分已经完成 硬件部分很迷茫

2019-03-25 09:22发布

把图片存成raw格式的二进制文件,8位的。每一个像素点组成了一个二维矩阵。比如705*715的图片,就是【705】【715】的矩阵。我要实现图像的浮雕效果,就是把每个像素点与其左上方的像素点做无符号相减就行,用C语言已经实现了。但是现在用硬件做就不会了,老师让用verilog做,再在FPGA上运行。不知道怎么弄,有哪位朋友做过,或是类似的指导一下,不胜感激。很着急,因为没人指点,但是自己弄又不知道从哪开始,没概念 附上C语言实现方法 QQ447077489 谢谢了 程序.rar (311.81 KB, 下载次数: 21) 2012-3-31 11:27 上传 点击文件名下载附件 [ 本帖最后由 maosirhere 于 2012-3-31 11:28 编辑 ] 此帖出自小平头技术问答
友情提示: 此问题已得到解决,问题已经关闭,关闭后问题禁止继续编辑,回答。