请大家看看这个错误什么意思

2019-03-25 09:23发布

到底错在哪了?新手,今年我们才开eda(vhdl版)我的程序:library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity shmxsh isport( data:out std_logic_vector(6 downto 0);    rst,clk:in  std_logic );end shmxsh;architecture behav of shmxsh isbeginprocess(rst,clk,data)variable Q:std_logic_vector(2 downto 0);beginif rst='0' then Q:=(others=>'0');elsif clk'event and clk='1' thenif Q<7 then Q:=Q+1;else Q:=(others=>'0');end if;end if;case Q iswhen "000"=> data<='0111111';when "001"=> data<='0000110';when "010"=> data<='1011011';when "011"=> data<='1001111';when "100"=> data<='1100110';when "101"=> data<='1101101';when "110"=> data<='1111101';when "111"=> data<='0000111';when others=>null;end case;end process;end architecture behav;
-----------------------------------------------------------------------------messages:

Info: *******************************************************************Info: Running Quartus II Analysis & Synthesis Info: Version 7.2 Build 151 09/26/2007 SJ Full Version Info: Processing started: Fri Apr 27 18:34:56 2012Info: Command: quartus_map --read_settings_files=on --write_settings_files=off shmxsh -c shmxshError (10500): VHDL syntax error at shmxsh.vhd(25) near text "'";  expecting "(", or an identifier, or  unary operatorError (10500): VHDL syntax error at shmxsh.vhd(26) near text "'";  expecting "(", or an identifier, or  unary operatorError (10500): VHDL syntax error at shmxsh.vhd(27) near text "'";  expecting "(", or an identifier, or  unary operatorError (10500): VHDL syntax error at shmxsh.vhd(28) near text "'";  expecting "(", or an identifier, or  unary operatorError (10500): VHDL syntax error at shmxsh.vhd(29) near text "'";  expecting "(", or an identifier, or  unary operatorError (10500): VHDL syntax error at shmxsh.vhd(30) near text "'";  expecting "(", or an identifier, or  unary operatorError (10500): VHDL syntax error at shmxsh.vhd(31) near text "'";  expecting "(", or an identifier, or  unary operatorError (10500): VHDL syntax error at shmxsh.vhd(32) near text "'";  expecting "(", or an identifier, or  unary operatorInfo: Found 0 design units, including 0 entities, in source file shmxsh.vhdError: Quartus II Analysis & Synthesis was unsuccessful. 8 errors, 0 warnings Info: Allocated 182 megabytes of memory during processing Error: Processing ended: Fri Apr 27 18:34:58 2012 Error: Elapsed time: 00:00:02Error: Quartus II Full Compilation was unsuccessful. 8 errors, 0 warnings

):'(  [ 本帖最后由 Hanux 于 2012-4-27 19:05 编辑 ] 此帖出自小平头技术问答
友情提示: 此问题已得到解决,问题已经关闭,关闭后问题禁止继续编辑,回答。