VHDL如何产生随机数

2019-03-25 09:23发布

在VHDL写testbench的时候如何产生一个随机数呢,就如verilog中的random函数一样,在VHDL中有吗? 还是需要自己写伪随机数发生器,好长的代码。。。。   此帖出自小平头技术问答
友情提示: 此问题已得到解决,问题已经关闭,关闭后问题禁止继续编辑,回答。