位结构体的访问,怎么顺序依次访问每个位?

2019-12-14 13:35发布

本帖最后由 yyliu 于 2018-12-4 09:50 编辑

-------重新编辑--------
如下图所示:我要怎么获取结构体的每个成员啊?很烦躁啊。。。。。
微信图片_20181204094432.png (87.28 KB, 下载次数: 0) 下载附件 2018-12-4 09:45 上传

友情提示: 此问题已得到解决,问题已经关闭,关闭后问题禁止继续编辑,回答。
1条回答
jueenkay
2019-12-14 14:50
typedef union
{
        unsigned char dat;
        struct
        {
                unsigned char b0 :    1 ;
                unsigned char b1 :    1 ;
                unsigned char b2 :    1 ;
                unsigned char b3 :    1 ;
                unsigned char b4 :    1 ;
                unsigned char b5 :    1 ;
                unsigned char b6 :    1 ;
                unsigned char b7 :    1 ;
        }bit;
}bit_field_t;
bit_field_t m_bit;
for(i=0;i<8;i++)
{
    if(0x01 & m_bit.dat)
    {
       //
    }
    m_bit.dat >>= 1;
}
这样?

一周热门 更多>