VHDL求模运算

2019-03-25 09:54发布

最近在写一个VHDL的年历+时钟程序,发现mod运算不能识别,
if (year mod 400=0) or ((year mod 4=0) and (year mod 100 /=0)) then
                        leap<='1';
                else leap<='0';
编译时提示错误信息:Error (10327): VHDL error at calendar.vhd(70): can't determine definition of operator ""mod"" -- found 0 possible definitions
我的头文件定义是
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
编译环境是quartus II 9.1
不知道怎样才能让编译通过,希望各位朋友提供一点帮助,谢谢啦 此帖出自小平头技术问答
友情提示: 此问题已得到解决,问题已经关闭,关闭后问题禁止继续编辑,回答。