VHDL 类型转换的完整详细版,有表格比较和示例说明的最好啦~谢谢!

2019-03-25 10:07发布

在网上找的资料都不完全,有的还是不对的。 最近发现,数字信号的处理在 VHDL里真的不是很好弄,尤其在类型转换里,没办法谁让它是强类型语言呢~   比如 bit(),to_bit(),          bit_vector(),to_bitvector(),          std_logic(),to_stdlogic(),          integer(), to_integer(),conv_integer(),          std_logic_vector(),to_stdlogicvector(),conv_std_logic_vector()          这些作用的范围是什么?在哪个库有哪些不同的含义?有没有一种好的方式可以查到它的原始资料,Quartus Help里提供的太简略了。。。   还求各位高手指点迷津~ 谢谢了。 [ 本帖最后由 xpuecnig 于 2011-8-26 13:16 编辑 ] 此帖出自小平头技术问答
友情提示: 此问题已得到解决,问题已经关闭,关闭后问题禁止继续编辑,回答。