大家看看下面的代码有问题吗??

2019-03-25 10:07发布

module decoder_74LS138(G1,
            G2A,
            G2B,
            A,
            Y );  //定义输入输出端口
input G1,G2A,G2B;
input [2:0] A;
output [7:0] Y;       //除非G2A和G2B置低且G1置高,否则74HC138将保持所有输出为高
 
assign Y = ((G1==1)&&(G2A+G2B==0))? ~(1'b1<<A) : 8'hff;    //利用赋值语句实现译码 endmodule 这里用吧~(1'b1<<A) 中的1‘1改成8’b1吗??????? 此帖出自小平头技术问答
友情提示: 此问题已得到解决,问题已经关闭,关闭后问题禁止继续编辑,回答。