FPGA中如何求倒数

2019-03-25 10:07发布

      我先要做的是一个定点实数的倒数运算,我用16bit的数表示范围为1.0~15.0的实数,用4bit表示整数,11bit表示小数我的思路是先把1.0~15.0做倒数, 然后扩大2048倍(右移11位), 但是如果我要精确运算的话,要从1.0001算到15.9999,即使从1.01~15.99然后做一个查找表放入ROM中,在运算时调用 也很麻烦(指的不够自动化)。      大家有没更好的方法呢??、   此帖出自小平头技术问答
友情提示: 此问题已得到解决,问题已经关闭,关闭后问题禁止继续编辑,回答。
7条回答
wang182004
2019-03-25 18:22
嗯,谢谢楼上。我现在的做法就是你提到的第三种,算好灌成ROM,就是精确度上有缺点。因为我可能比较主要硬件的使用效率,所以用IP核的话可能会太费资源,如果第三种做法确实无法达到预期的精确度,那只能用IP核了。

    PS:我现在做的是MIMO检测实现中的矩阵求逆运算,感觉网上对于这种数值计算实现方面介绍的很少,楼上的高人能否介绍点这方面的资料呢?谢谢

一周热门 更多>