对Modelsim6.0真的没办法了

2019-03-25 10:10发布

我用Quartus II编写代码,编译后,调用Modelsim6.0仿真,可就是不行。调用Modelsim6.0可以执行功能仿真(前仿真),可执行后仿真就不行了,老有错。只要添加.sdo文件一运行就过不了。真不知该咋办。。。。。。。我下了不少学习文件来看。。可还是解决不了。。 此帖出自小平头技术问答
友情提示: 此问题已得到解决,问题已经关闭,关闭后问题禁止继续编辑,回答。