2路有相位差的脉冲怎么做?求助

2019-03-25 10:17发布

clk_a_process :process
           begin
                     clk_a <= '0';
        wait for clk_a_period/2;
        clk_a <= '1';
        wait for clk_a_period/2;
   end process;
   clk_b_process :process
           begin
        clk_b <= '0';
        wait for clk_b_period/2;
        clk_b <= '1';
        wait for clk_b_period/2;
   end process;
我现在想做个测试向量,输入的是2路脉冲,但是我这样做的是没有相位差,怎么能做到有相位差呢 ? 此帖出自小平头技术问答
友情提示: 此问题已得到解决,问题已经关闭,关闭后问题禁止继续编辑,回答。