菜鸟问条VHDL指令的问题

2019-03-25 10:17发布

if (count_a="111") then
                                 count_a<=(others=>'0');   这句是什么意思
                        else
                                 count_a<=count_a+1;
                        end if; 此帖出自小平头技术问答
友情提示: 此问题已得到解决,问题已经关闭,关闭后问题禁止继续编辑,回答。
4条回答
仙猫
2019-03-25 20:02
< /

 让count_a按时钟每次加1,加到"111" 时复位为全0。

 用 (others=>'0') 代替 "0...0" 可以避免写出具体的位数,万一在调试中需变动count_a位数时不必到处修改源程序。

[ 本帖最后由 仙猫 于 2011-7-4 19:34 编辑 ]

一周热门 更多>