如何用VHDL处理一个脉冲信号

2019-03-25 10:21发布

如图,最上面有一个不规则的脉冲信号,现在想用两个信号之间的延迟来表现出 脉冲 小弟刚学VHDL , 接触的输入信号都是1位或多位的2进制 现在这样的脉冲信号如何处理,是否需要取样啥的,求指点,求给点思路,有类似的代码请发出来   此帖出自小平头技术问答
友情提示: 此问题已得到解决,问题已经关闭,关闭后问题禁止继续编辑,回答。