FPGA波形仿真结果问题

2019-03-25 10:23发布

下面的图是我写的一个程序的仿真结果,本来程序中式希望在clk的上升沿将y1的值赋值给y_out,结果却出现了图中所示的情况,很奇怪,y_out不仅不是在时钟的上升沿被赋值,而且中间还出现了很多多余的变量~~~~~~不知道有没有同仁遇到过,帮忙答疑解惑~ 此帖出自小平头技术问答
友情提示: 此问题已得到解决,问题已经关闭,关闭后问题禁止继续编辑,回答。