倍频

2019-03-25 10:24发布

急急急,,求FPGA的任意整数倍频的电路设计代码,能是VHDL的最好! (不是DCM或是DLL的) 此帖出自小平头技术问答
友情提示: 此问题已得到解决,问题已经关闭,关闭后问题禁止继续编辑,回答。
7条回答
shilaike
2019-03-25 21:51
呵呵。。LZ我觉得你的问题不对啊。。。
  虽然我也才学吧,但本人觉得代码里面是不能倍频的,如果想要倍频的话可以用SPOC定制CPU时定制一个PLL,或者我们单独用库里面的东西才实现一个PLL的定制,但本人一般用的都是第一种方法。。。。
     以上仅为个人观点,代码里面只能分频,不能倍频。。。。。。

一周热门 更多>