后仿真的结果不对,求解!

2019-03-25 10:25发布

程序: module time_delay_reg(in,
                      clk  ,reset ,out
           );
  input[11:0] in;
   input clk,reset;
  output[11:0] out;
   
  reg[11:0] out;
  always@(posedge clk)
  if(reset)
      out<=12'b0;
   else 
      out<=in;
   endmodule 用这个程序仿真出现的结果竟然不对,输入的12位数据是随机产生的,仿真结果的截图放在附件了。 本人新手,求高人解答。
此帖出自小平头技术问答
友情提示: 此问题已得到解决,问题已经关闭,关闭后问题禁止继续编辑,回答。
12条回答
yang_swust
2019-03-26 09:23
粗略看了一下楼主的操作,楼主输入变量既不在程序中初始化,又不在仿真软件中做初始化处理,这样做仿真当然不对了。软件是没有人那么会变通的。

[ 本帖最后由 yang_swust 于 2011-4-22 17:08 编辑 ]

一周热门 更多>