想编写一个STC12C5A60S2通用延迟函数库,直接调用延时函数。

2020-01-14 18:56发布

之前使用延迟函数的方式是需要多少延时,就单独编写一个函数来调用,感觉非常麻烦。现在的想法是编写一个通用的函数库,直接通过调用通用的函数名,天下需要延迟的参数,进行使用
友情提示: 此问题已得到解决,问题已经关闭,关闭后问题禁止继续编辑,回答。