Altera的时序约束问题

2019-03-25 10:32发布

有一个62.5Mhz的时钟clk_62M,我用语句 assign  clk_62M_inv = ~clk_62M;生成一个反向时钟。
时钟clk_62M和clk_62M_inv的周期是16ns,我希望把这两个时钟的上升沿的时间约束在7.5-8.5ns之间?
该如何写约束呢?我用的是Altera的TimeQuest。谢谢 此帖出自小平头技术问答
友情提示: 此问题已得到解决,问题已经关闭,关闭后问题禁止继续编辑,回答。