关于FF的CK和RN的setup问题?

2019-03-25 10:46发布

请教个问题,我在代码里用的是异步复位,即always@ (posedge clk or posedge rst),可是为什么在后仿的时候会报CK和RN的setup timing问题啊?

而且我看了仿真库后,发现都有CK和RN的setup的timing表。
我目前的解决方法是注释掉库里FF关于CK和RN的timing。

还有我在后仿的时候每次都需要注释掉".sdf"文件里所有关于RECOVERY和REMOVAL的timing,否则modelsim仿真时会报错,请问这又是为什么啊。
此帖出自小平头技术问答
友情提示: 此问题已得到解决,问题已经关闭,关闭后问题禁止继续编辑,回答。