用quartus设置ROM的问题

2019-03-25 10:48发布

最近的EDA实训在做波形发生器     想问下写正弦波程序时怎样用quartus设置一个ROM存储那二百多个采样数据, 然后再用一个读ROM的程序大数据读出来   
类似单片机C51程序从数组取里数据的操作   verilog里面好像没见有,我又不想用200多个case一个个写那些采样值太麻烦 呵呵!   
就是我看别人写的这一段:  
rom_sine rom_sine(   
.address(Addr),   
.clock(clk_d),   
.q(temp1));   
rom_tri roms_tri(   
.address(Addr),   
.clock(clk_d),   
.q(temp2));   
rom_pulse roms_pulse(   
.address(Addr),   
.clock(clk_d),   
.q(temp3)); 此帖出自小平头技术问答
友情提示: 此问题已得到解决,问题已经关闭,关闭后问题禁止继续编辑,回答。