如何有效的进行多位数据的并行转串行操作

2020-02-06 12:30发布

rt,输入为并行的五个8bit数据,每当数据改变且不为0时,将每个数据(8bit看做一个整体)串行输出给fifo,如何设计可以在最少的时间周期将数据输出?
友情提示: 此问题已得到解决,问题已经关闭,关闭后问题禁止继续编辑,回答。