新手请教:请问如何用单片机实现相位差为90°的两个方波信号?

2020-02-09 11:32发布

新手请教:请问如何用单片机实现相位差为90°的两个方波信号?现在用的是pic18f43k20单片机。在此先谢过!
友情提示: 此问题已得到解决,问题已经关闭,关闭后问题禁止继续编辑,回答。