reg型数组的初始化配置问题

2020-02-23 10:55发布

各位好,我的Verilog代码里用到 reg [15:0] Data_org [68:0];这样的寄存器组,我想在Reset里全置0,该 怎么办呢,不会得一个值一个值 的配吧,用 for循环可以吗?
友情提示: 此问题已得到解决,问题已经关闭,关闭后问题禁止继续编辑,回答。