modelsim仿真跨时钟域仿真 出现的问题

2020-02-24 20:17发布

最近做一个跨时钟域的东西 用modelsim仿真时 结果全是x 未知状态
不知道如何解决这个问题


友情提示: 此问题已得到解决,问题已经关闭,关闭后问题禁止继续编辑,回答。