关于verilog实现CRC的问题

2020-02-24 20:34发布

CRC-16是一种校验码标准,他的生成多项式为G(x)=x^16+x^15+x^2+1;不明白下面生成串行16位校验码的程序(求好心的大神指导):
QQ图片20131120160930.jpg QQ图片20131120161103.jpg
友情提示: 此问题已得到解决,问题已经关闭,关闭后问题禁止继续编辑,回答。